Sputter Deposition Cost of Ownership Leader for
Advanced Packaging Applications

Intevac announced at the Needham Growth conference, in mid-January 2018, its successful efforts developing the MATRIX PVD system for fan-out wafer level packaging (FOWLP) and fan-out panel level packaging (FOPLP) applications. Starting at IWLPC 2017 (October 2017), and continuing with EPTC 2017, SEMICON Japan 2017, SEMICON Korea 2018, DPC 2018, and beyond, Intevac has been sharing technical details about FOWLP and FOPLP sputter deposition processes for barrier/seed layer films in Cu Redistribution Layer (RDL) and UBM applications.

Fan-out packaging is a new market, where Intevac’s advantages in high productivity thin-film processing solutions provide a compelling advantage over current solutions being used in the packaging industry. In particular, Intevac’s PVD solutions reduce the cost of the redistribution layer barrier/seed deposition by up to two-thirds compared to existing process technology. The Intevac MATRIX also presents a cost-effective, simple migration path for OSATs as they move from wafer to panel level processing; the same MATRIX platform can be configured for today’s 300 millimeter wavers and for panels up to 600mm x 600mm on a side.

Concurrent with its internal product development process optimization activities in fan-out packaging, Intevac is also actively engaged with Tier-1 OSATs, where it has ongoing activity for both wafer level and panel level demonstrations and evaluations.

Intevac was recognized for its work in fan-out packaging by the IWLPC Technical Committee regarding the Best Presentation & Papers Awards for IWLPC 2017, based on the combination of technical merit, relevance, originality, knowledge of subject, quality of material, and quality of presentation. Intevac received the Best of Advanced Manufacturing and Test Track Paper Award from IWLPC for Intevac’s paper on “Process and Productivity Results from a Carrier-Based Linear Transport PVD Systems for RDL Seed Layer Deposition in Fan-Out Packaging Applications.”

The barrier/seed layer processes Intevac developed for fan-out wafer level packaging and fan-out panel level packaging redistribution layer formation use a process sequence of degas – pre-clean – Ti PVD – Cu PVD. Each of the process modules on Intevac’s linear transport system is optimized to accommodate high throughputs and short takt times in order to produce Cost of Ownership advantages in fan-out packaging over the per-wafer or per-panel costs of the PVD cluster tools that are the current Process of Record.

The MATRIX PVD degas module has a significant amount of vacuum pumping capacity, including Meissner coils for dedicated pumping of water vapor evolving from epoxy mold compound substrates during heated degas. The Intevac pre-clean module uses a gridded ion beam source that produces a net electrically neutral impingement of well-controlled energetic Argon ions on the wafer (or panel) to be cleaned. And for Ti and Cu PVD, Intevac uses its Linear Scanning Magnet Array (LSMA) magnetron, which achieves much higher target utilizations than can be had with a static planar magnetron.

The Ti and Cu film uniformity, sheet resistance, and adhesion results from Intevac’s MATRIX PVD in-line linear transport system are comparable to current industry POR results, and the cost of ownership results from the in-line system are considerably lower than today’s cluster tool POR for RDL barrier/seed layers in fan-out packaging.

By using dedicated wafer or panel carriers in the linear transport MATRIX PVD system, it’s an easy change to go from running carriers holding multiple 300mm fan-out wafers to running carriers with large panels for fan-out panel level packaging; the switch is made solely by changing the carrier itself, without making any in-vacuum adjustments for either the wafers or the panels.

INTEVAC MATRIX® PVD FEATURES
AND BENEFITS FOR
FAN-OUT PACKAGING AND UBM

  • The MATRIX PVD linear transport carrier-based system has significantly lower Cost of Ownership than competing cluster tool-based systems
  • The MATRIX PVD linear transport carrier-based system has considerably more flexibility handling the variety of wafer sizes used in FOWLP than do cluster tools
  • The Matrix PVD system also easily accommodates panels of various dimensions up to and including 600mm x 600mm square
  • The MATRIX PVD system uses a damage-free neutral ion beam source for pre-cleaning wafers and panels prior to sputter deposition, unlike the traditional ICP plasma-based pre-clean chambers found in cluster tools being used for FOWLP processing
  • The MATRIX PVD neutral ion beam pre-clean is a pass-through system proven to accommodate native metal oxide pre-clean of various substrates from 200mm round up to 600mm x 600mm square

INTEVAC MATRIX® PVD FOR
FAN-OUT PACKAGING AND UBM PRESENTATION